Skip to main content

Cython bindings for OpenAL

Project description

Cyal - Cython Wrapper for OpenAL

PyPI version Build

Cyal is a Python wrapper for OpenAL, a cross platform, 3D audio API built by Creative Labs. This project aims to be compatible with any conforming OpenAL 1.1 implementation, but receives the most testing on OpenAL Soft. It is written in Cython.

Installation

Install with pip, just as you would any Python package.

pip install cyal

Binary distributions are available for Windows, macOS and Linux, and include a bundled version of OpenAL Soft.

Building from source

When building from source, CMake will try to detect an existing OpenAL implementation on your system, and will use that if it finds one. If an OpenAL implementation isn't found, OpenAL Soft will be downloaded, compiled, and bundled with Cyal.

Manual installation:

# Clone the repository
git clone https://github.com/lower-elements/cyal
cd cyal
# Install a simple wheel build tool
pip install build
# Build both the sdist and bdist (see the options to build if you only want to build one)
python -m build .
# Install the .whl file in the dist directory

Contributing

This project is hosted on Github. Feel free to submit an issue if you find a bug, open a pull request to contribute, or join our Matrix room, [#cyal:lowerelements.club][matrix-room for Cyal discussion and support.

License

Cyal is licensed under the MIT License.

MIT License

Copyright (c) 2023 Michael Connor Buchan <mikey@blindcomputing.org>

Permission is hereby granted, free of charge, to any person obtaining a copy
of this software and associated documentation files (the "Software"), to deal
in the Software without restriction, including without limitation the rights
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
copies of the Software, and to permit persons to whom the Software is
furnished to do so, subject to the following conditions:

The above copyright notice and this permission notice shall be included in all
copies or substantial portions of the Software.

THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
SOFTWARE.

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

cyal-0.4.3.tar.gz (7.8 MB view hashes)

Uploaded Source

Built Distributions

cyal-0.4.3-cp312-cp312-win_arm64.whl (1.2 MB view hashes)

Uploaded CPython 3.12 Windows ARM64

cyal-0.4.3-cp312-cp312-win_amd64.whl (1.3 MB view hashes)

Uploaded CPython 3.12 Windows x86-64

cyal-0.4.3-cp312-cp312-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.12 Windows x86

cyal-0.4.3-cp312-cp312-musllinux_1_2_x86_64.whl (3.6 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ x86-64

cyal-0.4.3-cp312-cp312-musllinux_1_2_ppc64le.whl (3.9 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ ppc64le

cyal-0.4.3-cp312-cp312-musllinux_1_2_aarch64.whl (3.4 MB view hashes)

Uploaded CPython 3.12 musllinux: musl 1.2+ ARM64

cyal-0.4.3-cp312-cp312-manylinux_2_28_x86_64.manylinux_2_27_x86_64.whl (2.6 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.27+ x86-64 manylinux: glibc 2.28+ x86-64

cyal-0.4.3-cp312-cp312-manylinux_2_28_ppc64le.manylinux_2_27_ppc64le.whl (2.9 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.27+ ppc64le manylinux: glibc 2.28+ ppc64le

cyal-0.4.3-cp312-cp312-manylinux_2_28_aarch64.manylinux_2_27_aarch64.whl (2.5 MB view hashes)

Uploaded CPython 3.12 manylinux: glibc 2.27+ ARM64 manylinux: glibc 2.28+ ARM64

cyal-0.4.3-cp312-cp312-macosx_10_9_universal2.whl (4.4 MB view hashes)

Uploaded CPython 3.12 macOS 10.9+ universal2 (ARM64, x86-64)

cyal-0.4.3-cp311-cp311-win_arm64.whl (1.2 MB view hashes)

Uploaded CPython 3.11 Windows ARM64

cyal-0.4.3-cp311-cp311-win_amd64.whl (1.3 MB view hashes)

Uploaded CPython 3.11 Windows x86-64

cyal-0.4.3-cp311-cp311-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.11 Windows x86

cyal-0.4.3-cp311-cp311-musllinux_1_2_x86_64.whl (3.7 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ x86-64

cyal-0.4.3-cp311-cp311-musllinux_1_2_ppc64le.whl (4.0 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ ppc64le

cyal-0.4.3-cp311-cp311-musllinux_1_2_aarch64.whl (3.5 MB view hashes)

Uploaded CPython 3.11 musllinux: musl 1.2+ ARM64

cyal-0.4.3-cp311-cp311-manylinux_2_28_x86_64.manylinux_2_27_x86_64.whl (2.7 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.27+ x86-64 manylinux: glibc 2.28+ x86-64

cyal-0.4.3-cp311-cp311-manylinux_2_28_ppc64le.manylinux_2_27_ppc64le.whl (2.9 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.27+ ppc64le manylinux: glibc 2.28+ ppc64le

cyal-0.4.3-cp311-cp311-manylinux_2_28_aarch64.manylinux_2_27_aarch64.whl (2.5 MB view hashes)

Uploaded CPython 3.11 manylinux: glibc 2.27+ ARM64 manylinux: glibc 2.28+ ARM64

cyal-0.4.3-cp311-cp311-macosx_10_9_universal2.whl (4.4 MB view hashes)

Uploaded CPython 3.11 macOS 10.9+ universal2 (ARM64, x86-64)

cyal-0.4.3-cp310-cp310-win_arm64.whl (1.2 MB view hashes)

Uploaded CPython 3.10 Windows ARM64

cyal-0.4.3-cp310-cp310-win_amd64.whl (1.3 MB view hashes)

Uploaded CPython 3.10 Windows x86-64

cyal-0.4.3-cp310-cp310-win32.whl (1.2 MB view hashes)

Uploaded CPython 3.10 Windows x86

cyal-0.4.3-cp310-cp310-musllinux_1_2_x86_64.whl (3.7 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ x86-64

cyal-0.4.3-cp310-cp310-musllinux_1_2_ppc64le.whl (4.0 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ ppc64le

cyal-0.4.3-cp310-cp310-musllinux_1_2_aarch64.whl (3.5 MB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.2+ ARM64

cyal-0.4.3-cp310-cp310-manylinux_2_28_x86_64.manylinux_2_27_x86_64.whl (2.7 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.27+ x86-64 manylinux: glibc 2.28+ x86-64

cyal-0.4.3-cp310-cp310-manylinux_2_28_ppc64le.manylinux_2_27_ppc64le.whl (2.9 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.27+ ppc64le manylinux: glibc 2.28+ ppc64le

cyal-0.4.3-cp310-cp310-manylinux_2_28_aarch64.manylinux_2_27_aarch64.whl (2.5 MB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.27+ ARM64 manylinux: glibc 2.28+ ARM64

cyal-0.4.3-cp310-cp310-macosx_10_9_universal2.whl (4.4 MB view hashes)

Uploaded CPython 3.10 macOS 10.9+ universal2 (ARM64, x86-64)

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page